نتایج جستجو برای: clock tree construction

تعداد نتایج: 417096  

Journal: :Advances in Applied Mathematics 2021

We give a combinatorial description of the toric ideal invariants Cavender-Farris-Neyman model with molecular clock (CFN-MC) on rooted binary phylogenetic tree and prove results about polytope associated to this ideal. Key polyhedral structure include that number vertices is Fibonacci number, facets can be described using “cluster” underlying tree, volume equal an Euler zig-zag number. The CFN-...

2009
Zhou Zhao Ashok Srivastava Lu Peng Saraju P. Mohanty

Low power and robust circuitry are permanent hotspots in VLSI design. Adiabatic logic is one of potential breakthroughs for these goals. Especially, designing reliable clock tree is very significant for adiabatic logic due to four-phase clocked power required for pipelined data transmission in adiabatic system. In this paper, we present analysis of charging speed and clock types that influence ...

ژورنال: سلامت کار ایران 2014

Background and aims: Falling from work surfaces at height is often known as one of the main causes of fatal occupational accidents in the construction industry. Preventing from this type of accidents is a favorable subject not only for workers and employers, but also for HSE and insurance professionals of companies. Hence, the aim of this study is to identify and rank the causes of this hazard ...

Journal: :Systematic biology 2002
John P Huelsenbeck Jonathan P Bollback Amy M Levine

Phylogenetic trees can be rooted by a number of criteria. Here, we introduce a Bayesian method for inferring the root of a phylogenetic tree by using one of several criteria: the outgroup, molecular clock, and nonreversible model of DNA substitution. We perform simulation analyses to examine the relative ability of these three criteria to correctly identify the root of the tree. The outgroup an...

2000
Chung-Wen Albert Tsao Chena-Kok Koh

In this paper, we propose new approaches for solving the usefulskew tree (UST) routing problem [17]: Clock routing subject to general skew constraints. The clock layout synthesis engine of our UST algorithms is based on the deferred-merge embedding (DME) paradigm for zero-skew tree [5; 11 and bounded-skew tree [8; 21 routings; hence, the names UST/DME and Greedy-UST/DME for our algorithms. They...

1993
V L Chi

" " 5 4.066284e-03 " " 4.3 Other CVIEW modules \range" is used to prepare these output les for viewing on a Tektronix 4015 terminal or for plotting on a laser printer. It arranges scale factors and generates labels for the graphic output, providing more appropriate auto-scaling than is done by raw graph(1). This facility is automatically invoked by using any CVIEW command line display option. T...

2001
Yannick Bonhomme Patrick Girard Loïs Guiller Christian Landrault Serge Pravossoudovitch

In this paper, we present a new low power scan-based BIST technique which can reduce the switching activity during test operation. The proposed low power /energy technique is based on a gated clock scheme for the scan path and the clock tree feeding the scan path.

2010
Tanmoy Deb

distributing the clock signal on the chip in a manner such that it reaches all sinks at exactly the same point in time, a huge effort must be made. For example, the H-clock tree or B-Tree can achieve a low clock skew at the cost of many additional wires, which increases the chip size, cost and energy dissipation due to the capacitances that are created by thousands of parallel wires in the cloc...

2009
Surendra Rahamatkar Ajay Agarwal Vineet Sharma Pankaj Gupta

Wireless sensor networks (WSNs) assume a collection of tiny sensing devices connected wirelessly and which are used to observe and monitor a variety of phenomena in the real physical world. Time synchronization is an important issue in wireless sensor networks. Many applications based on these WSNs assume local clocks need to be synchronized to a common view of clock at each sensor node. Some e...

Journal: :Systematic biology 2003
Marc A Suchard Robert E Weiss Janet S Sinsheimer

We propose a Bayesian method for testing molecular clock hypotheses for use with aligned sequence data from multiple taxa. Our method utilizes a nonreversible nucleotide substitution model to avoid the necessity of specifying either a known tree relating the taxa or an outgroup for rooting the tree. We employ reversible jump Markov chain Monte Carlo to sample from the posterior distribution of ...

نمودار تعداد نتایج جستجو در هر سال

با کلیک روی نمودار نتایج را به سال انتشار فیلتر کنید