نتایج جستجو برای: hot compression test

تعداد نتایج: 968993  

Journal: :IEICE Transactions 2005
Hideyuki Ichihara Michihiro Shintani Tomoo Inoue

Test compression / decompression is an efficient method for reducing the test application cost. In this letter we propose a response compression method based on Huffman coding. The proposed method guarantees zero-aliasing and it is independent of the fault model and the structure of a circuit-under-test. Experimental results of the compression ratio and the size of the encoder for the proposed ...

Journal: :فیزیک زمین و فضا 0
محمدجواد کلایی موسسه ژئوفیزیک دانشگاه تهران امین اسماعیلی پسا دکتری

one of the most important advantages of particle simulation as compared to fluid simulation is the capacity for working with and tracing particles. in particle simulations, the test particle method is usually used to get some idea of the behavior of plasma or other substances. in this method, first, a small number of particles are injected into the frame of static electromagnetic fields. then, ...

Journal: :J. Electronic Testing 2004
Anshuman Chandra Krishnendu Chakrabarty

We present an analysis of test application time for test data compression techniques that are used for reducing test data volume and testing time in system-on-a-chip (SOC) designs. These techniques are based on data compression codes and on-chip decompression. The compression/decompression scheme decreases test data volume and the amount of data that has to be transported from the tester to the...

2011

LLE Review, Volume 126 66 Introduction High-energy electrons are detrimental to laser fusion because they can preheat the fuel, preventing the high compression necessary for central hot-spot ignition and high gain.1 The direct-drive approach is particularly vulnerable as a result of the long scale length of plasma that exists at the quarter-critical density of the target, although it can also o...

Journal: :Integration 2014
S. Sivanantham M. Padmavathy Ganga Gopakumar P. S. Mallick J. Raja Paul Perinbam

In this paper, we present two multistage compression techniques to reduce the test data volume in scan test applications. We have proposed two encoding schemes namely alternating frequency-directed equal-run-length (AFDER) coding and run-length based Huffman coding (RLHC). These encoding schemes together with the nine-coded compression technique enhance the test data compression ratio. In the f...

Journal: :iranian journal of science and technology (sciences) 2005
a. ghasemizad

in isobaric models for inertial confinement fusion it is assumed that the target configurationat stagnation time (maximum compression) consists of two regions, hot spark and cold fuel, respectively.here the conditions of spark formation and ignition in hydrogen equimolar isotopes in central sparkignition are investigated and permissible values of hs and ts are evaluated numerically. by introduc...

Journal: :Menara 2023

Based on its quality, paving blocks are used in the construction sector and an alternative choice for surface pavement layers. Several other advantages concrete bricks (paving blocks) better than pavements economical maintenance, artistic terms of exterior a building, do not require heavy equipment to work/install, can be mass-produced. This study aims compare compressive strength using compres...

2012
S. SARAVANAN A. BALASUBRAMANIYAN

In all System-on-a-Chip (SoC) designs, there is a necessity to reduce the large test data volume and this is achieved by test data compression. One of the methods is the variable-to-variable length compression method. A selective run-length based compression which comes under variable-to-variable method is presented in this paper. The proposed work is based on threshold calculation on don’t car...

2016
K. R. Krishnapriya M. A. Muthiah

The continuous increase in complexity of system on chip (SOC) design has resulted in higher test data volume. In this paper, we have proposed a new test data compression technique using an iterative XOR Matrix. This compression is a lossless compression technique that reduces the amount of test data and therefore reduction in test time. Experimental results on ISCAS 89 benchmark circuits are ob...

نمودار تعداد نتایج جستجو در هر سال

با کلیک روی نمودار نتایج را به سال انتشار فیلتر کنید