Test Power Reduction by Simultaneous Don’t Care Filling and Ordering of Test Patterns Considering Pattern Dependency

نویسندگان

چکیده مقاله:

Estimating and minimizing the maximum power dissipation during testing is an important task in VLSI circuit realization since the power value affects the reliability of the circuits. Therefore during testing a methodology should be adopted to minimize power consumption. Test patterns generated with –D 1 option of ATALANTA contains don’t care bits (x bits). By suitable filling of don’t cares can minimize the number of switching activity between two successive patterns. The switching power dissipation of the Circuit under Test (CUT) also depends on the order of patterns applied for. If consecutive pattern application time is sufficiently large then leakage power dissipation does not alter on the ordering of the patterns. So under this circumstances leakage power does not change but if the pattern application time is small leakage power depends on the ordering of the pattern applied to the CUT. Previous works concerns only about don’t care filling or pattern ordering or first filling of don’t care and then ordering for low power circuit testing. Ordering after filling of don’t care may change the benefits of X-filling. The advantage of test power reduction of both the methods - don’t care filling and ordering may be obtained if they are considered together. In this work an approach based on Genetic Algorithm (GA) is used to solve the integrated problem for X-filling and reordering of test patterns considering pattern dependency to minimize the switching activity throughout testing without changing the fault coverage. Effectiveness of the proposed GA based approach compared to existing approach considering test patterns for ISCAS’85 benchmark circuits is shown in the result section.

برای دانلود باید عضویت طلایی داشته باشید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Selective scan slice repetition for simultaneous reduction of test power consumption and test data volume

In this paper, we present a selective scan slice encoding technique for power-aware test data compression. The proposed scheme dramatically reduces test data volume via scan slice repetition, and generates an adjacent-filled test pattern known as the favorable lowpower pattern mapping method. Experiments were performed on the large ITC’99 benchmark circuits, and results show the effectiveness o...

متن کامل

Low Power Test Compatibility Classes: Exploiting Regularity for Simultaneous Reduction in Test Application Time and Power Dissipation

Traditional DFT methodologies increase useless power dissipation during testing and are not suitable for testing low power VLSI circuits leading to lower reliability and manufacturing yield. Traditional test scheduling approaches based on fixed test resource allocation decrease power dissipation at the expense of higher test application time. On the one hand it was shown that power conscious te...

متن کامل

exploring motivation and english test preparation strategies of iranian pre-university candidates during and at the end of test preparation period for konkur examination

the current study aimed at investigating the relationship between motivation and test preparation strategies (tpss) used by iranian pre-university students in their preparation period for the university entrance exam (uee). due to the importance of uee in iran, this study also attempted to show its impact on these two important variables. to this end, 100 pre-university students in an iranian p...

Aggressive Test Power Reduction Through Test Stimuli Transformation

Excessive switching activity during shift cycles in scan-based cores imposes considerable test power challenges. To ensure rapid and reliable test of SOCs, we propose a scan chain modification methodology that transforms the stimuli to be inserted to the scan chain through logic gate insertion between scan cells, reducing scan chain transitions. We introduce a novel matrix band algebra to formu...

متن کامل

Phlebotomy Tube Dealing and Test Ordering Pattern: An Experience

Objective: To describe filling patterns and associated transcriptional aspects in phlebotomy tubes received at PNS Rahat laboratory. Material and Methods: This descriptive study was carried out from November 2006 to August 2007 at Department of Pathology, PNS Rahat, Karachi. Phlebotomy related data was collected from various blood collection tubes and associated request/authorization forms from...

متن کامل

Test time reduction by optimal test sequencing

Testing complex manufacturing systems, like ASML lithographic machines (ASML 2005), can take up to 45% of the total development time of a system. This test time can be reduced by choosing wisely which test cases must be performed in which sequence, without making investments in test cases or the system. With the test sequencing method, developed by (Boumen 2005, 2006), it is possible to make th...

متن کامل

منابع من

با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

ذخیره در منابع من قبلا به منابع من ذحیره شده

{@ msg_add @}


عنوان ژورنال

دوره 31  شماره 5

صفحات  752- 758

تاریخ انتشار 2018-05-01

با دنبال کردن یک ژورنال هنگامی که شماره جدید این ژورنال منتشر می شود به شما از طریق ایمیل اطلاع داده می شود.

میزبانی شده توسط پلتفرم ابری doprax.com

copyright © 2015-2023